CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 频率计

搜索资源列表

  1. fequency

    0下载:
  2. 基于CPLD的等精度数度频率计,可以通过外设功能按键实现,频率、相位、占空比等参数的测量。-CPLD based on the number of degrees of accuracy, such as frequency meter, key peripheral functions can be achieved, frequency, phase, duty cycle measurement of parameters such as
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:380967
    • 提供者:dzt
  1. cepin

    0下载:
  2. 基于等精度测频法的频率计测频模块,用VHDL 编写,在QUARTUS里面编译成功的-Such as precision frequency measurement method based on the frequency meter measuring frequency module, using VHDL written inside the compilation of success in the QUARTUS
  3. 所属分类:Other systems

    • 发布日期:2017-03-31
    • 文件大小:828
    • 提供者:梁梁
  1. dds_vhdl

    0下载:
  2. fpga VHDL语言,控制DDS产生频率可变的正弦波信号扫频-FPGA VHDL DDS
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2759851
    • 提供者:gaoshang
  1. dfbfdvbfdbfgbfgb153351bgfb

    0下载:
  2. : 条形码识别,直接运行程序即可; pdf417lib:二维条形码打印(输出为ps格式的文件),在书中第6章二维条形码打印部分有程序使用的说明; 条形码生成器源程序:生成一维条形码,直接运行程序即可; [8位数字频率计.rar] - 数字频率计~ VHDL 实现 可以实现频率的测量和现实的功能 8位 [hot.rar] - 图像分割是数字图像处理中的关键技术之一。图像分割是将图像中有意义的特征-tiaoxingma.rar]- barcode: barcode recognition
  3. 所属分类:Development Research

    • 发布日期:2017-04-10
    • 文件大小:1087555
    • 提供者:ihba
  1. vhdl

    0下载:
  2. 用vhdl实现频率计,提出一种用vhdl实现的等精度测频率系统设计。-Frequency counter using vhdl implementation is presented using vhdl achieve precision measurements such as frequency of system design.
  3. 所属分类:software engineering

    • 发布日期:2017-04-02
    • 文件大小:103578
    • 提供者:salanchen
  1. D

    0下载:
  2. VHDL数字频率计(1)频率测量范围: 10 ~ 9999Hz 。 (2)输入电压幅度 >300mV 。 (3)输入信号波形:任意周期信号。 (4)显示位数: 4 位。 (5)电源: 220V 、 50Hz -vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:258799
    • 提供者:韦阳
  1. plj

    0下载:
  2. 这是一个频率计的源代码,用的是VHDL语言设计的,能够测量0-20KHZ的频率!-This is a frequency meter of the source code, using the VHDL language design, can measure 0-20KHZ frequency!
  3. 所属分类:assembly language

    • 发布日期:2017-05-16
    • 文件大小:4586450
    • 提供者:biao
  1. plj

    0下载:
  2. 数字频率计 在1秒内对被测信号进行计数,并将数据送至控制器,控制器根据数据自动选档,量程分为0--10KHz 、10KHz --100KHz 、100KHz --1MHz 三档。 数据采用记忆显示方式,即计数过程中不显示数据,待计数过程结束以后,显示计数结果,并将此显示结果保持到下一次计数结束。-Digital frequency meter in 1 second count of the measured signals and data sent to the controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55074
    • 提供者:xdq
  1. counter_bcd7

    0下载:
  2. bcd十进制计数器,用于频率计设计的计数器单元,输出zeros用于选通量程使用!-bcd decimal counter, the counter for frequency counter design unit, the output zeros for the use of strobe range!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-04
    • 文件大小:525
    • 提供者:jim
  1. VHDL-djdplj

    0下载:
  2. 基于VHDL语言的十进制等精度频率计的设计,采用VHDL语言,运用自顶向下的设计思想, 将系统按功能逐层分割的层次化设计方法,使用Quartus8.0开发环境,实现了频率计的设计。-VHDL language based on the decimal precision frequency meter, etc. The design, using VHDL language, the use of top-down design, the system is divided by func
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:228086
    • 提供者:ldd
  1. VHDL-based-digital-frequency-meter-

    0下载:
  2. 本源码介绍了基于VHDL的数字频率计设计,其风格简约而实用-The source describes the VHDL-based digital frequency meter design, the style is simple and practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:7737
    • 提供者:helong
  1. pinlvji

    0下载:
  2. 自己编的一个频率计,verilog语言写的,用数码管显示方波的频率,测量量程是0.1hz~9999999hz,测方波的稳定性极高。-Their series a frequency counter, verilog language written with the digital display of the square wave frequency, measurement range is 0.1hz ~ 9999999hz, high stability of the square w
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1426005
    • 提供者:龙德勇
  1. vhdl

    0下载:
  2. 交通灯控制 频率计case when语句 vhdl硬件描述语言编写-Vhdl traffic light control hardware descr iption language of transformation to achieve control of traffic lights
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1597
    • 提供者:damon
  1. Frequency-Counter

    0下载:
  2. 频率计,可以精确的测量仪器的工作周期,频率,测量精确度高-Frequency, precision measuring instruments for the duty cycle, frequency, high precision measurement
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:3028
    • 提供者:wulei
  1. VHDL

    0下载:
  2. 基于VHDL的数字频率计的详细设计与相关范围-VHDL digital frequency meter
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:242786
    • 提供者:苹果核
  1. Perfect-VHDL

    1下载:
  2. 1 步进电机定位控制系统VHDL程序与仿真 2 采用等精度测频原理的频率计程序与仿真 3 URAT VHDL程序与仿真 4 自动售货机VHDL程序与仿真 5 电子琴程序设计与仿真 6 出租车计价器VHDL程序与仿真 7 DAC0832 接口电路程序 8 FSK调制与解调VHDL程序及仿真 -1stepper motor positioning control system for VHDL procedures and simulation
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-17
    • 文件大小:1313422
    • 提供者:liu
  1. VHDL-frequency-meter

    0下载:
  2. 基于VHDL的数字频率计设计.pdf VHDL-based digital frequency meter design. Pdf-VHDL-based digital frequency meter design. Pdf
  3. 所属分类:software engineering

    • 发布日期:2017-11-17
    • 文件大小:99505
    • 提供者:lzh
  1. 1

    0下载:
  2. VHDL频率计的设计 验证过能用 大家一起学习交流-Use VHDL cymometer design validation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-02
    • 文件大小:12776
    • 提供者:xuebing
  1. frequency-measuring-VHDL

    0下载:
  2. 采用等精度测频原理的频率计程序与仿真,本文为DOC文档,附有源码和仿真波形-Equal precision frequency measuring principle of frequency meter program and simulation, this paper for the DOC document, attached to the source code and simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:127693
    • 提供者:
  1. Frequency-meter-VHDL

    0下载:
  2. 频率计程序设计与仿真。本文为DOC文档,附有源码和仿真波形,详见文档-Frequency meter program design and simulation, this paper for the DOC document, attached to the source code and simulation waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:102960
    • 提供者:
« 1 2 3 4 56 7 8 9 10 ... 14 »
搜珍网 www.dssz.com